September 21 - 25, 2025 | Monterey, US
The premier technical meeting for mask makers, EUVL, and emerging technologies
EUV Lithography Conference Chair
Kurt G. Ronse, imec (Belgium)
Photomask Technology Conference Co-Chair
Vicky Philipsen, imec (Belgium)
PLENARY
Wednesday All-Symposium Plenary
Session Chairs: Kurt G. Ronse, imec (Belgium) and Vicky Philipsen, imec (Belgium)
PRESENTATION
PMJ Best Paper: Bright-field EUV mask patterning for extending scaling roadmap (Invited Paper)
Kenichi Miyaguchi1 (presenter), Yukihiro Fujimura2, Masataka Yamaji2, Mei Ebisawa2, Izumi Hotei2, Tsukasa Abe2, Shosuke Tomizuka2, Hiroki Deguchi2, Shingo Yoshikawa2, Ling Ee Tan1, Darko Trivković1, Yasser Sherazi1, Ryoung-han Kim1
1imec (Belgium), 2Dai Nippon Printing Co., Ltd. (Japan)
EMLC Best Paper: High-NA EUV mask CD-SEM metrology matching, and contour-based comparison of simulation result and wafer print (Invited Paper)
Darko Trivkovic1 (presenter), Syamashree Roy1, Guillaume Libeert1, Balakumar Baskaran1, Vicky Philipsen1, Eric Hendrickx1, Darko Trivkovic1, Ulrich Welling2, Jirka Schatz2, Shosuke Tomizuka3, Masataka Yamaji3, Tatsuya Tomita3, Nobuaki Fujii3, Shingo Yoshikawa3, Sotaro Hosoya3, Hideki Nakaya4, Toshimichi Iwai4, Hideaki Komami4
1imec (Belgium), 2Synopsys GmbH (Germany), 3Dai Nippon Printing Co., Ltd. (Japan), 4Advantest Corp. (Japan)
Unraveling the role of environment on the lithographic performance of metal oxide resists: Key role of oxygen during post-exposure bake (Invited Paper)
Ivan Pollentier1 (presenter), Fabian Holzmeier1, Hyo Seon Suh1, Kevin Dorney1
1imec (Belgium)
Stitching at high-NA EUV: A first experimental study (Invited Paper)
Vincent J. I. Wiaux1 (presenter), Natalia Davydova3, Tatiana Kovalevich1, Nick Pellens1, Ataklti Weldeslassie1, Jad Haddad1, Vito D. Rutigliani1, Marcus Newman2, Dhruv Tyagi2, Soobin Hwang1, Airat Galiullin3, Jeremy Chen3, Adam Lyons4, Frank Timmermans3, Cyrus Tabery4
1imec (Belgium), 2imec (Netherlands), 3ASML Netherlands B.V. (Netherlands), 4ASML US, Inc. (United States)
Development of CNT pellicles for mass production and next generation EUV scanners (Invited Paper)
Yosuke Ono2 (presenter), Masashi Fujimura2, Tenga Takahashi2, Takashi Kozeki2, Marina Timmermans1, Ivan Pollentier1
1imec (Belgium), 2Mitsui Chemicals, Inc. (Japan)
High-NA stitching: Model and OPC assessment for logic metal printing with a low-n mask (Invited Paper)
Qinglin Zeng2 (presenter), Dongbo Xu3, Werner Gillijns1, Xuefeng Zeng2, Roel Gronheid, Sandip Halder1, Sujan Kumar Sarkar1, Fan Jiang2, Shibing Wang2, Yuyang Sun2, Germain Fenger2
1imec (Belgium), 2Siemens EDA (United States), 3Siemens EDA (Belgium)
Alternative EUV multilayer mirror mask for reduced mask 3D effects evaluated at NA0.33
Tatiana Kovalevich1 (presenter), Nick Pellens1, Guillaume Libeert1, Lieve Van Look1, Andreas Frommhold1, Vicky Philipsen1, Tsukasa Abe2, Yukihiro Fujimura2, Izumi Hotei2, Mei Ebisawa2, Masataka Yamaji2, Shosuke Tomizuka2, Shingo Yoshikawa2
1imec (Belgium), 2Dai Nippon Printing Co., Ltd. (Japan)
Tuning, modelling, and verifying effects of intermixing on EUV multilayer mirror performance via a combined simulation and experimental approach
Kevin M. Dorney1 (presenter), Eva Nerke2, Katrina Rook4, Antonio Checco4, Vitaly Krasnov1, Ankit Nalin Mehta1, Andy Dawes3, Ulrich Klostermann2, Ulrich Welling2, Wolfgang Hoppe2, Meng Lee4, Vicky Philipsen1
1imec (Belgium), 2Synopsys GmbH (Germany), 3Synopsys, Inc. (United States), 4Veeco Instruments Inc. (United States)
Lithography strategies on overlay control of backside processing in complementary field effect transistor (CFET) device (Invited Paper)
Rajendra Kumar Saroj1 (presenter)
1imec (Belgium)
Holistic dry resist optimization on bright field EUV contact hole patterning
Ching-Chung Huang2 (presenter), Francesco Gullo2, Mohand Brouri2, Anuja De Silva3, Andrew Lushington3, Nizan Kenane3, Boris Volosskiy3, Rich S. Wise3, Mihir Gupta1, Hyo Seon Suh1, Bernardo Oyarzun Rivera4, Joost van Bree4, Herman Nicolai4, Gijsbert Rispens4
1imec (Belgium), 2Lam Research Belgium BV (Belgium), 3Lam Research Corp. (United States), 4ASML Netherlands B.V. (Netherlands)
Depth-of-focus enhancement in high-numerical aperture EUV lithography by source and mask optimization (Invited Paper)
Guillaume Libeert1 (presenter), Joern-Holger Franke1, Sofia Leitao2, Natalia Davydova2, Vicky Philipsen1, Praniesh Ayyanar Ramachandran3, Susan Sherin Kadeparambil Varghese3
1imec (Belgium), 2ASML Netherlands B.V. (Netherlands), 3imec (Netherlands),
Actualizing EUV mask model through TEM/EDX analysis (Invited Paper)
Rik M. Jonckheere1 (presenter), Olivier Richard1, Vicky Philipsen1
1imec (Belgium)
Impact of MOR anomalies on lithography and OPC: Challenges and solutions (Invited Paper)
Pervaiz Kareem1 (presenter), Werner Gillijns1
1imec (Belgium)
Defectivity-aware EUV process window characterization for monolithic complimentary FET HAR and 3D patterning (Invited Paper)
Hong-Cheon Yang1 (presenter), Min-Soo Kim1, Xiuju Zhou1, Christophe Beral1, Kaushik Sah2, Loemba Bouckou2, Luca Barbisan2, Ganesha Srivallabha Durbha2, Roel Gronheid2
1imec (Belgium), 2KLA Corp. (Belgium)
Advances of dry resist towards next-generation lines-spaces patterning in high-NA EUV lithography (Invited Paper)
Ali Haider2 (presenter), Zhengtao Chen2, Shruti Jambaldinni2, Anuja De Silva2, Rich Wise3, Matteo Beggiato1, Christophe Beral1, Hyo Seon Suh1, Danilo De Simone1
1imec (Belgium), 2Lam Research Belgium BV (Belgium), 3Lam Research Corp. (United States)
Mitigation of pattern collapse in EUV lithography using SCREEN’s novel rinse technology for metal oxide resist
Seungjoo Baek2 (presenter), Elke Caron2, Wesley Zanders2, Andreia Santos2, Masahiko Harumoto3, Seonggil Heo1, Jelle Vandereyken1
1imec (Belgium), 2SCREEN SPE Germany GmbH (Germany), 3SCREEN Semiconductor Solutions Co., Ltd. (Japan)
Energy-efficient EUV lithography using PFAS-free, light-curable underlayers for advanced nodes
Seonggil Heo1 (presenter), Jelle Vandereyken1, Min Seong Jeong1, Elke Caron2, Wesley Zanders2, Seungjoo Baek2, Andreia Santos2, Douglas Guerrero3, Masahiko Harumoto4
1imec (Belgium), 2SCREEN SPE Germany GmbH (Germany), 3Brewer Science, Inc. (United States), 4SCREEN Semiconductor Solutions Co., Ltd. (Japan)
Understanding the impact of the EUV photon absorption distribution in a patterned EUV resist and its lithographic performance
Danilo De Simone1 (presenter), Vicky Philipsen1, Alex Vaglio Pret2,3, Anatoly Burov3
1imec (Belgium), 2KLA Italy Srl (Italy), 3KLA Corp. (United States)
Enabling curvilinear masks: novel mask qualification methodology and experimental verification
Darko Trivković1 (presenter), Xiaolong Wang1, Xuelong Shi1, Chieh-Miao Chang1, Renyang Meng1, Jane Wang1, Victoria Malacara1, Joost Bekaert1, Balakumar Baskaran1
1imec (Belgium)
Energy-efficient optical crosslinking system and PFAS-free underlayers in ArFi lithography: key enablers for sustainable semiconductor technologies and systems
Min Seong Jeong1,2,3 (presenter), Seonggil Heo1, Jelle Vandereyken1, Elke Caron4, Wesley Zanders4, Seungjoo Baek4, Andreia Santos4, Douglas Guerrero5, Masahiko Harumoto6
1imec (Belgium), 2Seoul National Univ. of Science and Technology (Korea, Republic of), 3KU Leuven (Belgium), 4SCREEN SPE Germany GmbH (Germany), 5Brewer Science, Inc. (United States), 6SCREEN Semiconductor Solutions Co., Ltd. (Japan)
TECHNICAL
Panel discussion: Trends in mask complexity: a curse or a blessing?
Panelist: Tatiana Kovalevich, imec (Belgium)
SOCIAL AND NETWORKING EVENT
Women in Optics Networking Lunch
Featured Speaker: Vicky Philipsen (imec)
More details on all imec contributions at SPIE Photomask Technology + EUV Lithography can be found here.
This important conference addresses important topics and advancements in photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme ultraviolet lithography, and emerging technologies. Escape the day-to-day and talk with scientists and engineers to share the spontaneous ideas that only happen when we gather in person.
Discuss your product requirements with top suppliers at the free two-day exhibition. Meet with industry partners who can help you solve problems, cut costs, and increase your capabilities. Register now and make plans to attend.