/SPIE Photomask technology EUV Lithography

SPIE Photomask technology EUV Lithography

30 September - 04 October 2024 | Monterey, US

The premier technical meeting for mask makers, EUVL, and emerging technologies

Imec

Monday, 30 September 2024

  • Logic and memory patterning breakthrough in the imec ASML High-NA lab (Invited Paper) - Victor M. Blanco Carballo
  • Advancements in dry resist patterning towards high NA EUV enablement (Invited Paper) - Ali Haider (Lam Research Belgium BV), co-authored by imec 

  • Enhancing sustainability in semiconductor manufacturing: Energy-efficient optical crosslinking for lithography processes - Elke Caron (SCREEN SPE Germany GmbH), co-authored by imec 

  • Increasing throughput in EUV logic applications with thinner low-n masks and wavefront optimization (Invited Paper) - Nick Pellens

  • Unfolding the curves: novel designs and metrology methods for curvilinear masks qualification - Darko Trivkovic

  •  

Tuesday, 1 October 2024

  • A study on programmed defect propagation from design to mask to wafer using SEM metrology - Balakumar Baskaran
  • Single exposure EUV patterning optimization and defect inspection of hexagonal contact hole arrays using voltage contrast metrology - Shubhankar Das

Thursday, 3 October, 2024

  • The influence of reflectivity on EUV lithography performance of low-n and binary masks for random logic via implementation - Ling Ee Tan
  • BEFORCE : a pathway to unravel metal oxide resist (MOR) reactions upon EUV exposure, bake and environment (Invited Paper) - Ivan Pollentier
  • Enabling 0.33 NA EUV lithography patterning towards MP16 SADP semi-damascene metallization, setting the benchmark for high NA EUV - Yannick Hermans
  • Substantial Dose Reduction Using Organic-Based Deposited Underlayer for EUV Lithography While Maintaining Roughness and Minimizing Defects Achintya Kundu
  • 34 nm Pitch 4F2 Pillar Patterning Enabled by Curvilinear Mask Geometries - Hemant Kumar Raut
  • PFAS-free EUV rinse for advanced technology nodes - Roberto Fallica
  • EUV dose reduction for pitch 28 nm line-space - Mihir Gupta

Friday, 5 October, 2023

  • Application of SONR for a better OPC model with a EUV curvilinear photomask, Sujan Sarkar et al. 

More details on all imec contributions at SPIE Photomask Technology + EUV Lithography can be found here

About

Make plans to join the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme ultraviolet lithography, and emerging technologies. Escape the day-to-day and talk with scientists and engineers to share the spontaneous ideas that only happen when we gather in person.

Discuss your product requirements with top suppliers at the free two-day exhibition. Meet with industry partners who can help you solve problems, cut costs, and increase your capabilities. Register now and make plans to attend.

Discover more