Gate Stack imec
/Expertise/CMOS technology: advanced and beyond

CMOS technology: advanced and beyond

Imec enables next-generation computing, storage and interconnects. Providing its partners with the disruptive breakthroughs they need to create their future products.

The high-performance compute market will continue to grow because of new application drivers. The 5G rollout will accelerate the already exponential growth of data. This will be combined with growing internet traffic and new data center applications such as supercomputing and high-performance computing-as-a-service. All increasing the demand for high-end CPUs and GPUs inside (cloud) servers. Meanwhile, inside our smartphones, mobile SoCs need to integrate more functionalities in a form-factor-constrained IC.

To achieve these goals, imec collaborates with the entire IC value chain.Constituting one of the world’s largest R&D hubs for next-generation semiconductor research. By building on advanced process steps and materials exploration, fundamental understanding and state-of-the-art fab infrastructure.

Get in touch

Stay informed about semiconductor research

The industry’s largest partner ecosystem for advanced CMOS technology

Imec has set up a unique environment for semiconductor research. A collaboration with the entire value chain, including the world’s major foundries, IDMs, fabless and fablite companies, material and tool suppliers, EDA companies and application developers.

To provide academia and industry early access to the most advanced technology nodes, imec launched its open PDK, embedded in EDA tool suites. Find out more.

It’s a collaboration where all the latest techniques and inventions can be combined and co-optimized. An R&D hub with what’s arguably the world’s densest square mile of expertise, labs and fab infrastructure.

Explore our infrastructure

Industry’s most advanced R&D 300mm cleanroom facility

Imec offers a neutral, open innovation R&D platform that involves suppliers profoundly and at an early stage of process step and module development. Thanks to our close partnerships with leading tool and materials suppliers, we can do advanced process development. And offer our partners the industry’s most sophisticated research infrastructure housed within a state-of-the-art 300mm cleanroom.

Accept marketing-cookies to view this content.
Cookie settings

CMOS technology innovation from a system perspective

Emerging applications such as energy-efficient AI ICs in data centers and edge devices require performance enhancements beyond those from traditional CMOS scaling. One way to achieve this is the simultaneous innovation of system architectures and technology requirements to allow for the most efficient combination of building blocks for the required functionality and performance – or system-technology co-optimization (STCO).

STCO starts by identifying the bottlenecks and challenges in existing systems. Those become the starting point for exploring new system architectures and technologies. The research loop is then closed by evaluating these innovations – and their cohesive integration – through prototypes or other hardware evaluation systems.

Because of its expertise across the technology stack – from semiconductor devices to software – and its prototyping and manufacturing infrastructure and ecosystem, imec offers technology and system companies an STCO innovation sandbox for joint development of next-gen applications.

For imec, STCO is a guiding principle in various research programs such as logic CMOS scaling and Advanced RF. STCO is also being explored at imec USA.

Check out this article on system-technology optimization.

Fast cycling between fundamental research, lab probing, and cleanroom enablement

Our scientists and engineers work closely with those of our partners. They’ve set up a fast R&D cycle, feeding the results of fundamental research to the fabs. There, materials and structures are probed and tested for suitability and characteristics.

From the fab, they flow to the cleanroom, looking for faultless manufacturability. The results, measurements and characterization are cycled back into the fundamental research.

Technology centers for advanced CMOS and beyond

Compute
Compute

Imec intensifies device and system scaling, and explores new paths.

Click for more

Store technology centers
Store

Imec's innovations keep the information age on track.

Click for more

Connect technology centers
Connect

Optimized connection technologies remove performance bottlenecks.

Click for more

Expertise centers for advanced CMOS and beyond

Advanced patterning and key process steps
Patterning and process steps

Improved tools and processes are indispensable for new technology nodes.

Click for more

Inline metrology
Metrology and characterization

Imec's analytical capabilities support new device architectures.

Click for more

Semiconductor reliability testing
Reliability testing and modeling

We model and test semiconductor technologies with advanced methods and tools.

Click for more

Sustainable semiconductor technologies and systems
Sustainable semiconductor technologies and systems

Imec supports the semiconductor ecosystem's will to make more sustainable choices.

Click for more

alt

Subscribe to our thematic newsletters

Sign up