alt
imec logo

Imec pushes the limits of EUVL single exposure for future logic and memory

Imec has made considerable progress towards enabling extreme ultraviolet lithography (EUVL) single exposure of N5 32nm pitch metal-2 layers and of 36nm pitch contact holes. Greg McIntyre, Peter De Bisschop, Danilo De Simone, Frederic Lazzarino and Victor Blanco from the imec patterning team explain some of the key steps and highlight the impact on the semiconductor industry. The results have been presented in multiple papers at the 2018 SPIE Advanced Lithography Conference.