Naoto Horiguchi and Zsolt Tokei from imec
imec logo

Vision

Logic technology scaling options for 2nm and beyond

A roadmap marked by increased synergy between advanced logic device and nano-interconnect research

Summary

In this interview, Naoto Horiguchi, director CMOS device technology, and Zsolt Tokei, imec fellow and program director nano-interconnects at imec, offer the semiconductor industry a broad spectrum of options to scale logic technology generations beyond 1nm.

 
Along the scaling path, they show how logic device development needs to go hand in hand with introducing innovations in the back-end-of-line, and, to an increasing extent, in the middle-of-line.